Summary

936.57 21.54(2.35%)05/15/2024
ASML Holding NV (ASML)

Key Facts


1 Day1 Week1 Month3 Months6 Months1 Year5 YearsAll Time
-0.954.10-7.58-1.5342.7339.33371.7069,229.77


Last 730 data points are shown. To view all data, Upgrade to PRO plan with only $1


Trading Data
Close908.22
Open924.83
High926.38
Low907.64
Volume645,244
Change-8.70
Change %-0.95
Avg Volume (20 Days)1,223,988
Volume/Avg Volume (20 Days) Ratio0.53
52 Week Range564.00 - 1,056.34
Price vs 52 Week High-14.02%
Price vs 52 Week Low61.03%
Range-1.80
Gap Up/Down6.78
Fundamentals
Market Capitalization (Mln)368,802
EBIDTA8,929,200,128
PE Ratio47.8544
PEG Ratio2.8105
WallStreet Target Price1,071.38
Book Value35.0640
Earnings Per Share19.4400
EPS Estimate Current Quarter3.0400
EPS Estimate Next Quarter4.4000
EPS Estimate Current Year20.6300
EPS Estimate Next Year32.1900
Diluted EPS (TTM)19.4400
Revenues
Profit Marging0.2723
Operating Marging (TTM)0.2630
Return on asset (TTM)0.1386
Return on equity (TTM)0.6028
Revenue TTM26,102,300,672
Revenue per share TTM1.1939
Quarterly Revenue Growth (YOY)-0.2160
Quarterly Earnings Growth (YOY)-0.3720
Gross Profit (TTM)10,700,100,000
Dividends
Dividend Share4.6500
Dividend Yield0.0071
Valuations
Trailing PE47.8544
Forward PE44.0529
Price Sales (TTM)0.0000
Price Book (MRQ)26.2666
Revenue Enterprise Value 13.8451
EBITDA Enterprise Value38.2469
Shares
Shares Outstanding394,590,016
Shares Float393,246,574
Shares Short0
Shares Short (Prior Month)0
Shares Ratio0.00
Short Outstanding (%)0.00
Short Float (%)0.00
Insider (%)0.00
Institutions (%)19.12


05/14 07:12 EST - reuters.com
TSMC says ASML High NA EUV machine not necessary for A16 node
Taiwanese chipmaker TSMC does not necessarily need to use ASML's next generation High NA EUV machines for its A16 node, which is under development for 2027, an executive said on Tuesday.
05/10 12:58 EST - youtube.com
Trade Tracker: Steve Weiss buys ASML Holdings and more Netflix
Steve Weiss, founder and ,anaging partner at Short Hills Capital Partners joins CNBC's “Halftime Report” to detail his latest buys in ASML and Netflix.
05/06 18:37 EST - prnewswire.com
SHAREHOLDER ALERT: Pomerantz Law Firm Investigates Claims on Behalf of Investors of ASML Holding N.V. - ASML
NEW YORK , May 6, 2024 /PRNewswire/ -- Pomerantz LLP is investigating claims on behalf of investors of ASML Holding N.V. ("ASML" or the "Company") (NASDAQ: ASML).
05/06 16:15 EST - fool.com
Better AI Stock: Super Micro Computer vs. ASML
Supermicro's sales of AI servers are soaring. ASML faces a near-term slowdown as it grapples with tighter export restrictions.
05/06 10:05 EST - zacks.com
ASML Holding N.V. (ASML) is Attracting Investor Attention: Here is What You Should Know
Recently, Zacks.com users have been paying close attention to ASML (ASML). This makes it worthwhile to examine what the stock has in store.
05/05 08:42 EST - seekingalpha.com
Extreme UV Is The Future For Chips, ASML Holding Has A Monopoly
ASML Holding N.V., a Dutch semiconductor firm, has seen significant stock performance and market cap growth in the last decade. ASML's primary business is the production of lithography machines used by chip manufacturers to make physical chips. ASML's revenue from extreme ultraviolet (EUV) lithography has increased 9x in the last seven years and is expected to overtake sales from legacy systems in 2024.
05/04 05:41 EST - seekingalpha.com
The 4 Factor Dividend Growth Strategy - Minor Turbulence In April
The 4-factor dividend growth portfolio is a strategy that leverages the stock selection process of Schwab U.S. Dividend Equity ETF with a few minor twists.
05/02 08:00 EST - fool.com
Is ASML Stock a Buy Now?
Its bookings were not as strong as expected in the latest quarter, but its backlog remains solid. A recovery in semiconductor equipment spending and the construction of new fabs should help.
05/02 06:00 EST - investorplace.com
Chip Stocks on Sale: 3 Semiconductor Plays to Buy the Dip
Chip stocks pulled back following an earnings report by ASML (NASDAQ: ASML ), a prominent semiconductor manufacturing equipment developer, which said it expects its bookings to see a significant 61% sequential decrease in the first quarter.  This downturn surpassed what investors had anticipated, causing concern in the semiconductor market.
05/02 04:27 EST - fool.com
The Best Stocks to Invest $1,000 in Right Now
ASML is a critical player in the semiconductor manufacturing process. Alphabet is growing all segments of its business with secular trends in its favor.
04/30 07:17 EST - investorplace.com
Slump Survivors: 3 Stocks That Will Outlast the S&P 500 Downturn
If you're searching for stocks to outperform the S&P 500, look no further. Some stocks are more solid than others against market downturns and macro-economic uncertainty and have high chances of beating the S&P 500 index.
04/27 10:10 EST - fool.com
1 Wall Street Analyst Thinks ASML Stock Is Going to $1,000. Is It a Buy Around $872?
That price target suggests upside of almost 15%. ASML's cutting-edge extreme ultraviolet lithography is well suited to handle the high demand for chips that can power artificial intelligence functionalities.
04/26 07:45 EST - fool.com
Is ASML's Big Sell-off a Warning Sign to Artificial Intelligence (AI) Investors?
ASML's recent sell-off may cause some people to wonder if there are cracks in the chip industry. ASML is the only one in the world that has this technology.
04/26 07:35 EST - investorplace.com
3 Unstoppable Tech Stocks to Take You From $50,000 to $250,000 (and Beyond)
While the broader stock market can often be unpredictable, investors continue their search for unstoppable tech stocks for big gains in 2024. Among the top contenders are companies harnessing the power of artificial intelligence, renewable energy and e-commerce.
04/25 08:57 EST - reuters.com
New ASML boss Fouquet must navigate US/China chip war
ASML's new boss Christophe Fouquet faces a tricky balancing act in helping to steer Europe's biggest tech company through the US/China "chip war", while maintaining the group's lead over rivals and managing the current AI boom.
04/25 05:00 EST - fool.com
You Won't Believe What ASML Told Wall Street Investors
Analysts on Wall Street often ask insightful questions that get companies to reveal critical information for investors.
04/25 02:21 EST - seekingalpha.com
ASML Holding: From Bullish Momentum To Balanced Outlook
ASML maintains dominance in semiconductor lithography, commanding over 90% of the market share. Expansion strategies focus on market growth alignment and profitability enhancement, evidenced by Q1 2024 earnings performance. Projections foresee steady market growth, driven by potential in Extreme Ultraviolet Lithography.
04/24 14:02 EST - investorplace.com
3 Stocks Set to Surge in the Next Market Rally
Finding chances for investment development is crucial for investors looking to optimize their returns in the always-changing stock market environment. Three exceptional enterprises have surfaced as possible engines of substantial profits in the face of market and economic volatility.
04/24 11:30 EST - globenewswire.com
ASML discloses 2024 AGM results
ASML discloses 2024 AGM results Veldhoven, the Netherlands, April 24, 2024 – ASML Holding N.V. (ASML) today announces the results of its Annual General Meeting (AGM) held on April 24, 2024.